Quantcast
Channel: UVM SystemVerilog Discussions Forum RSS Feed
Viewing all articles
Browse latest Browse all 410

uvm object into uvm sequence

$
0
0

Hi,

 

I actually want to write a very simple sequence that use a variable of class extends uvm object type.

So I firstly wrote a small class extends uvm object that contains all the properties I want to use, and just after this I wrote a class extends uvm sequence that contains an array of this precedent class. The problem is that doesn't work; doesn't even compile at the end. When I remove the class extends uvm object, it compiles. So I would like to ask you if it is a correct constrution.

Following my basic code for illustrating my question:

class properties_c extends uvm_object;
`uvm_object_utils(properties_c)
// construtor + variables declaration
endclass
 
class basic_seq extends uvm_sequence;
  
`uvm_object_utils(basic_seq)  
`uvm_declare_p_sequencer(seqr) 
// variables declaration 
properties_c array[];
//constructor + array constructor 
 
virtual task body();
 `uvm_do_on_with (seq, p_sequencer.seqr, {  })
 
endtask
 
endclass

 Thanks in advance :)

 


Viewing all articles
Browse latest Browse all 410

Trending Articles