Quantcast
Channel: UVM SystemVerilog Discussions Forum RSS Feed
Viewing all articles
Browse latest Browse all 410

UVM_ACTIVE data type....bit or int ?

$
0
0

When agents are configured, I typically see something like this:

uvm_config_db#(int)::set(this,"testbenchA.masterA_hostB.agentpink","is_active",UVM_ACTIVE);

 

 

Isn't UVM_ACTIVE of type bit?  I see it 'described' here in an enum and given a default value.

src/base/uvm_object_globals.svh: typedef enum bit { UVM_PASSIVE=0, UVM_ACTIVE=1 } uvm_active_passive_enum;

 

So shouldn't the uvm_config_db line not be:

 

 uvm_config_db#(int)::set(this,"testbenchA.masterA_hostB.agentpink","is_active",UVM_ACTIVE);

but instead be:

 uvm_config_db#(bit)::set(this,"testbenchA.masterA_hostB.agentpink","is_active",UVM_ACTIVE);

?
 
 
thx,
 
(I sense that I probably don't have a solid enough understanding of enum and the relationship between bits and ints.)

Viewing all articles
Browse latest Browse all 410

Trending Articles